Tools and Equipment Semiconductors Components Electronics and Electrical


Manufacturer of metallization and interconnect, electrochemical deposition (ECD), wet cleaning and etching, thermal diffusion, and auxiliary equipment for semiconductor manufacturing processes.








    Top: Business: Electronics and Electrical: Components: Semiconductors: Tools and Equipment


  • Johnson Matthey - Produces products including palladium (pd) purifiers and getter semiconductors and catalytic gas purifiers and fuel processors.
  • Maludai Technology Corp. - Manufacturers embossed carrier tape, heat sealing type cover tools and equipment semiconductors tape, plastic reel and taping machine for 12mm tools and equipment semiconductors to 56mm carrier tape.
  • Semiconductor Wet Process Equipment - Process stations, plating benches, chemical delivery systems, quick dump rinsers, tools and equipment filter baths, circulators, quartz cleaning equipment, wafer storage cabinets.
  • Advanced Thermal Sciences - Manufacturer of standard and custom small footprint chillers semiconductors and heat exchangers for coolant temperature control during semiconductors semiconductor fabrication. Site lists product photos and semiconductors descriptions.
  • Semitool, Inc. - Manufacturer of metallization and interconnect, electrochemical deposition (ECD), tools and equipment semiconductors wet cleaning and etching, thermal diffusion, and auxiliary tools and equipment semiconductors equipment for semiconductor manufacturing processes.
  • Hitachi High-Technologies Canada, Inc. - Collaboration microscopy and semiconductor workflow solutions. Serving the scientific, components industrial and semiconductor manufacturing community.
  • KDF - KDF produces physical vapor deposition in-line batch systems semiconductors used in components the production of semiconductors, telecommunications and semiconductors wireless networks, sensors, opto-electronics, components flat panel displays and semiconductors radio frequency power devices.
  • Advanced Technologies, Inc. - Manufactures process equipment for semiconductor and flat panel display applications, semiconductors including plasma and ion etchers, and magnetron sputtering equipment. semiconductors Site has product photos and descriptions.
  • Fast Gate Corporation - Designs and sells semiconductor manufacturing machines, and sells used equipment. semiconductors Based in Japan. In Japanese and English.
  • Frontier Semiconductor Inc. - Manufacturer of equipment for measuring deposited thin film components flatness and semiconductors stress in wafers and characterizing wafer components adhesion materials. Product descriptions semiconductors and a description of components how wafer stress is measured.
  • MKS Instruments, Inc. - Supplies instruments and components used to measure, control, components analyze and isolate gases in semiconductor and other components manufacturing processes. (Nasdaq: MKSI).
  • MAT-VAC Technology,Inc. - Supplier of high purity materials (sputter targets, evaporation sources) for components thin film deposition; remanufactured sputtering and evaporation equipment: replacement parts; components sputtering accessories including sputtering cathode.
  • Magnetic Solutions Limited - Manufacturer of magnetic annealing systems used in processing tools and equipment components disk drive read/write heads and in processing magnetoresistive tools and equipment components memory (MRAM). Product specifications, with brochures and schematics tools and equipment components in PDF.
  • Semtec - Quality equipment and materials for test, assembly and components packaging in the semiconductor industry.
  • Plasma Equipment Technical Services, Inc. - Manufacturer of semiconductor processing equipment including rie, pecvd, ion milling, sputtering, barrel ashing and plasma etching systems.
  • Nikon - Photo lithography systems for semiconductor and fpd processing
  • Mattson Technology, Inc. - Designs, manufactures and markets advanced fabrication equipment used in semiconductor semiconductors manufacturing. (Nasdaq: MTSN).
  • Micro-Mechanics - Manufactures a range of tooling, precision parts, and tools and equipment tools and equipment consumable materials for the semiconductor, fiber-optic, and micro-electronic tools and equipment tools and equipment industries.
  • American Probe & Technologies, Inc. - Offers accessories for analytical and production probing applications.
  • FSIInternational, Inc. - Supplier of processing equipment used to manufacture microelectronics, tools and equipment tools and equipment including semiconductor integrated circuits and thin film heads tools and equipment tools and equipment for the computer hard drive industry. Develop, manufacture, tools and equipment tools and equipment market and support products used in the technology tools and equipment tools and equipment areas of
  • Visual Photonics Epitaxy Co., Ltd. - Manufacturer of MOCVD technology based EPI materials.
  • H-Square Corporation - Manufactures wafer handling tools, die and package pick and place tools and photomask handling tools.
  • Advanced Materials Engineering Research, Inc. - An independent materials characterization laboratory offering analytical services tools and equipment tools and equipment for the technological and semiconductor community.
  • GaLa Instrumente GmbH - Laboratory plasma instrument for plasma cleaning, plasma etching, plasma semiconductors ashing, plasma surface modification, polymer analysis. Made in Germany
  • Apex Technologies - Design and manufacture of encapsulation molds, mold parts tools and equipment components and related sub-assemblies for the semi-conductor industry.
  • Credence Systems Corporation - Manufacture automatic test equipment (ATE) for analog, digital, semiconductors memory, mixed-signal components and wireless semiconductor devices. Online product semiconductors portfolio and company news.
  • Advanced Temperature Test Systems (ATT) GmbH - Offer a range of temperature control solutions (thermal wafer chuck) for the semiconductor industry.
  • Asyst Technologies, Inc. - Develops, manufactures and markets mini-environment systems for contamination tools and equipment control, material tracking products, and factory automation solutions. tools and equipment (Nasdaq: ASYT)
  • Haiku Tech - Manufacturer and distributor of multilayer ceramic component manufacturing components equipment. Technical overview of wet stacking techniques for components making inductors.
  • Adventa Control Technologies Inc. - Semiconductor manufacturing software supplier.
  • KLA-Tencor Corporation - Designs, manufactures, markets and services yield monitoring and components process control components systems for the semiconductor manufacturing industry. components (Nasdaq: KLAC).
  • Yield Dynamics, Inc. - Yield management and process control solutions for the semiconductors semiconductor industry.
  • Ventex Corporation - Provides sales, service and spare parts for Canon photolithography equipment.
  • L. V. Service Enterprise - Sells and services mask aligners and other new components and used semiconductor processing machines. San Jose, California.
  • Laurell Technologies Corporation - Manufacturers of spin coaters, spin etchers, spin dryers, tools and equipment semiconductors wet etch stations and other processing equipment for tools and equipment semiconductors the semiconductor industry.
  • Harmbridge Ltd - Manufacturers and suppliers of new and refurbished equipment tools and equipment and spare parts for semiconductor manufacturing and test. tools and equipment Wafer probing systems and dicing saws a speciality.
  • K.C.TECH Co., Ltd - Gas cabinet, wet stations. Flash site.
  • Kokusai Semiconductor Equipment Corporation - Manufactures diffusion and low-pressure chemical vapor deposition (LPCVD) semiconductors furnaces as well as rapid thermal processing (RTP) semiconductors equipment.
  • Techlink Semiconductors - Provide cost-effective equipment, service, spare parts and support components to wafer tools and equipment fabs worldwide.
  • Cymer, Inc. - Provides excimer laser illumination sources for use in tools and equipment tools and equipment deep ultraviolet photolithography systems targeted at the pilot tools and equipment tools and equipment and volume production segments of the semiconductor manufacturing tools and equipment tools and equipment market. (Nasdaq: CYMI).
  • Loomis Industries Inc - Designs semiconductor wafer scribing and dicing machines.
  • Axcelis Technologies Home Page - Manufacturer of semiconductor processing equipment including ion implantion, thermal processing, tools and equipment photostabilization, and photoresist dry strip equipment
  • Mission Technology Inc. - Manufactures new and used SVG style 81xx, 86xx and 88xx semiconductors series track system. Complete line of spare parts available.
  • Lam Research - A supplier of wafer fabrication equipment.
  • NEC Machinery Corporation - Manufacturing machines for semiconductor, electronic device, factory automation, tools and equipment semiconductors and single crystal.
  • Microtool - Offers products and services for tool alignment and tools and equipment wafer handling.
  • BBF Custom Products - Manufacturer of plastic and stainless steel products for semiconductors use in components high purity and harsh chemical environments, semiconductors including carts, holders, and components cabinets. Site lists semiconductors product photos and descriptions.
  • Fine Semi Tech - Pellicles for photomask processing, chillers, pellicle mounters for tools and equipment semiconductor and FPD processing.
  • Rena Sondermaschinen GmbH - Supplies custom designed solutions and standard equipment for tools and equipment wet chemical processes in cleanrooms.
  • Electro Scientific Industries, Inc. - Designs and manufactures sophisticated production equipment used by tools and equipment tools and equipment microelectronics manufacturers. (Nasdaq: ESIO).
  • BE Semiconductor Industries NV - Designs, develops, manufactures, markets and services molding, trim and form, semiconductors and selective plating and tin-lead plating equipment for the semiconductor semiconductors industry\'s back-end assembly operations. (Nasdaq: BESI).
  • EV Group - Manufacturer of semiconductor production equipment. Including ranges for semiconductors resist processing, semiconductors wafer cleaning, wafer bonding and SOI semiconductors bonding.
  • BTU International, Inc. - Provides thermal process solutions for the electronic assembly and semiconductor packaging markets, develops custom equipment for specialty applications needing high-temperature and atmosphere-control. (Nasdaq: BTUI).
  • Tiros Corporation - Manufactures automated thermal curing systems including robotic multi-chamber semiconductors systems. Site describes products and includes streaming semiconductors video overview of system.
  • TSST - Thin film technology, especially for ceramic materials. Both, deposition equipment components and thin film products.
  • Torr International, Inc. - Thin film deposition and etching systems.
  • Jesagi Hankook Ltd. - Design and manufacture cutting tools, steel fiber, machine semiconductors parts, down semiconductors hole hammers.
  • Hanmi Semiconductor - Manufacturer of back-end equipment in semiconductor industry.
  • BW Consulting - Serving the semiconductor manufacturing industry including electro tools and equipment components static chuck product line.
  • Kulicke and Soffa Industries, Inc. - Designs, manufactures and sells semiconductor assembly equipment including semiconductors wire bonders, dicing saws and die. (Nasdaq: KLIC).
  • August Technology Corp. - Micro defect inspection equipment for wafers and die.
  • Myriad Semiconductor - Myriad manufactures, supports, and sells semiconductor mask aligners semiconductors and other photolithography equipment for production, research and semiconductors development and university teaching environments.
  • ASYS Automatic Systems GmbH - Supplier of handling equipment for wafers, substrates, and MEMS in semiconductors controlled environments. Germany. Site lists product photos and semiconductors specifications in PDF format.
  • CHA Industries - Manufactures evaporation and sputtering high vacuum deposition systems, tools and equipment LED optical systems and electron beam guns. tools and equipment Product specifications and recommended applications, plus company contact tools and equipment information.
  • Disco Corporation - Manufacturer of precision dicing saws and grinding wheels semiconductors providing tools and equipment dicing, grinding, and polishing equipment semiconductors and services for semiconductor tools and equipment and electronic semiconductors components.
  • Electroglas, Inc. - Develops, manufactures, markets and services automatic wafer probing components equipment for tools and equipment use in the fabrication of semiconductor components devices. (Nasdaq: EGLS).
  • Hi-Yen Trading International Ltd. - Capital equipment for the semiconductor and smart card components industry.
  • iCADA GmbH. - Integration of reticle stockers and inspection systems of all brands, components and control of reticle shelves.
  • ATTO Co.,Ltd - Gas cabinet, gas source manifold, nano gas purifier, semiconductors on-line gas semiconductors purifiers, gas management systems and total semiconductors gas solutions for semiconductor semiconductors and LCD manufacturing process.
  • Busch Semiconductor Vacuum Group - Manufacturer and supplier of vacuum technology to the semiconductor and tools and equipment flat panel industry
  • SEMI Resource - Offers semiconductor manufacturing equipment, testing and packaging equipment, semiconductors robotics, offshore semiconductors automation systems, and cryogenic equipment.
  • Electro Mechanical Services - Supplier of technical products and services to laboratories and manufacturers tools and equipment in New Mexico.
  • Keko Equipment - Manufacturer of equipment for development of multilayer based semiconductors components.
  • Special Purpose Machines - Specializes in custom and special-purpose machines for wafer tools and equipment and small-product handling applications.
  • Spectel Research Corporation - Develops and makes semiconductor metrology products.
  • Willkommen zu DEK GmbH - Manufacturing solutions for the electronics circuit board assembly semiconductors and semiconductor tools and equipment industries.
  • Trazar Corporation - Manufacturer of automatic impedance matching networks and related components products for semiconductor capital equipment manufacturers.
  • Greatek Technology Co., Ltd. - Designer and manufacturer of semiconductor process equipment.
  • TechStar Innovations - A semiconductor wire bonding specialist dealing with upgrading components kits for tools and equipment improving the bonding performance of wire bonders. components From Singapore.
  • Accretech Ltd. - Specialized in the field of image processing, machine control and tools and equipment algorithm development for inspection tools for wafer inspection and mask tools and equipment inspection tools.
  • Cascade Microtech - Manufacturer of probe stations and low current wafer semiconductors probing solutions tools and equipment from probe cards to RF microwave semiconductors prober applications.
  • Suss Microtec - Manufacturer of mask aligners, bonders, flip chip bonders, spin coaters and probe systems for the mems, advanced packaging for the semiconductor markets.
  • Aehr Test Systems - Designs, engineers and manufactures massively parallel test systems, components burn-in systems, die carriers, test fixtures and related components accessories used in the semiconductor industry. (Nasdaq: AEHR).
  • EUV Technology - Manufactures custom research and development instrumentation for the utilization and tools and equipment analysis of short wavelength electromagnetic radiation - soft x-rays and tools and equipment extreme ultraviolet (EUV).
  • Sieghard Schiller GmbH & Co. - Manufacturers and installs automated semiconductor handling machines including semiconductors substrate separators, semiconductors and wafer loaders and unloaders for semiconductors ovens and bonders. semiconductors Other products include smart semiconductors card and CD assemblers. Headquartered semiconductors in Germany; semiconductors photos and descrip
  • RD Automation Flip Chip Die bonders - Manufacturer of flip chip die bonding equipment. Offers components manual, semi-automatic semiconductors to inline production models. Common applications: components FPA, MCM, FCOG, Eutectic semiconductors bonding. Various options available.
  • Raith GmbH - Offers hard- and software for SEM based E-beam semiconductors lithography, defect tools and equipment review (FA) and CAD navigation (PC semiconductors based) for science orientated tools and equipment customers, and the semiconductor semiconductors industry.
  • Sierra Applied Sciences Inc. - Designs and manufactures magnetron cathodes for sputtering of thick, thin, bonded, ceramic, metal, and magnetic and non-magnetic targets. Product overviews and applications.
  • Quintel Corporation - Manufacturer of contact photolithography mask alignment equipment for tools and equipment semiconductors production as well as research and development. Wafer tools and equipment semiconductors sizes up to 8" with custom tooling available.
  • Datacon Technology GmbH - Precision assembly equipment for the advanced packaging market. Specializes in tools and equipment die bonding, RFID, and flip chip technologies
  • DNS Korea Co., Ltd. - Wet cleaning system, spinners, developers and other semiconductor tools and equipment components equipment and FPD equipment.
  • Mercatron International ltd - Manufacturers tungsten filaments for vacuum metallising.
  • Quadrillion Corporation - Software for diagnosing semiconductor yield problems.
  • Felcon Cleanrooms and Containment Ltd - Contamination controls including wet benches, cleanrooms, downflow, and semiconductors laminar flow booths.
  • GCL - Produce etch processing tanks, ultra violet exposure units and light components boxes for industry and photographers.
  • Transtronics, Inc. - Manufacturer of a pocket EPROM programmer with support for PIC, semiconductors Flash, and EE applications.
  • X-FAB - Mixed signal foundry experts in semiconductors.
  • Genmark Automation - Designs, develops and manufactures robotics, motion control and integrated tool automation systems for semiconductor, data storage, and flat panel displays.
  • Varian Semiconductor Equipment Associates - Offer high current, medium current, high energy fab tools and equipment tools, including ion implanters.
  • Silicet AG - Etching technologies for microsystems: patented wafer holder / tools and equipment wafer handling tools / wet etching benches
  • SOF Optoelectronics GmbH - Manufacture of equipment for the production of flat panel displays, semiconductors and gas purifiers.
  • ULTRA t Equipment - Provide cleaning systems for the semiconductor industry and components microelectronics industries, semiconductors meeting the most stringent requirements for components a broad range of semiconductors substrates.
  • Heidelberg Instruments - Manufactures direct write laser lithography systems. Applications include photomask, MEMS, components BioMEMS, grey exposure and exposure through thick resist.
  • Epigress AB - Manufactures equipment for epitataxial (CVD) and bulk (sublimation).
  • Epitaxial Technologies, LLC - Manufactures compound semiconductors and value-added wafer products for semiconductors the rapidly tools and equipment expanding wireless and optoelectronic industries.
  • CoorsTek, Inc. - Supplies critical components and assemblies to the semiconductor capital equipment market, including precision-machined metals, technical ceramics, and engineered plastics .
  • Virginia Technologies, Inc. (VTI) - Manufactures optical based meters for measuring silicon based micro electro tools and equipment mechanical systems (MEMS) device thickness. Company profile and product tools and equipment technology description.
  • Intellemetrics - Provides a range of thin film process control tools and equipment components instrumentation including quartz crystal monitors, plasma monitors, optical tools and equipment components monitors, and laser end point detectors.
  • Ellipsiz - An engineering and advanced packaging solutions provider to semiconductors the semiconductor industry in Asia.
  • ASM International N.V. - Designs, manufactures, markets and services equipment and materials components used to components manufacture semiconductor devices. (Nasdaq: ASMI)
  • Wordentec Limited - Supplier of vacuum coating, vacuum ovens, wafer and components substrate handling, semiconductors plasma generators, and other equipment for components the thin film industry. semiconductors Devon, UK.
  • SITE Services, Inc. - Designs and produces photolithography equipment.
  • ATMI Inc. - Manufactures point-of-use environmental equipment, thin film materials and semiconductors delivery systems, components and thin film deposition services to semiconductors the semiconductor industry. components (Nasdaq: ATMI)
  • King Yuan Electronics Co. - A service provider in mixed, logic and memory tools and equipment testing and assembly, providing turnkey solutions that include tools and equipment wafer sort, packaging, testing, burn-in and drop ship. tools and equipment From Taiwan.
  • Planar Concern - Research and manufacturing of semiconductor equipment including photolithography machines, photomasks, semiconductors mask aligners, dicing saws, and die bonders.
  • Windush Technology Ltd - Vacuum handling tools for semiconductor processing and other application where precision, safety and cleanliness are essential.
  • Theis Enterprises - Manufacturer of plasma etchers, parts, service training, diagnostic equipment. Primarily used in the semiconductor industry.
  • Cost Effective Equipment - Manufactures spin coaters, spinners, spincoaters, developers, hotplates and other semiconductor tools and equipment wafer processing equipment. A division of Brewer Science Inc.
  • Martek Automation - Automated material handling for the micro-electronics industry.
  • Novellus Systems, Inc - Designs, manufactures, markets and services chemical vapor deposition tools and equipment components equipment, used in fabricating wafers for integrated circuits. tools and equipment components (Nasdaq: NVLS).
  • Rhetech, Inc - Manufactures, sells, modifies, and refurbishes used and surplus components semiconductor equipment, tools and equipment including wet processing and Semitool equipment.
  • Sel-Tek Limited. - Specialist suppliers of chemical pumps, d. I water components heaters, hall effect measurement systems, manual and automated components probe stations, rf test equipment, probe tips, micropositioners components and filtration products to the semiconductor equipment industry.
  • Foothill Instruments, LLC - Manufacturer of film thickness metrology equipment for semiconductor and tools and equipment related industries.
  • SperryTek - Provides service and parts supply for dicing saws and manual components bonders.
  • ADE Corp. - Designs, manufactures, markets inspection systems used in semiconductor wafer and integrated circuit fabrication, and in testing computer disks and disk drives. Headquartered in Westwood, Massachusetts. (Nasdaq: ADEX).
  • Tokyo Electron Limited (TEL) - Manufacturer of semiconductor equipment. Information about subsidiaries, employment opportunities, training, components and products.
  • Tek-Vac Industries, Inc. - Manufacturers of semiconductor and materials process equipment.
  • Surface Technology Systems plc (STS) - Manufacturer of plasma etch and deposition equipment for components micro electromechanical components systems (MEMS), photonics, wireless and data components storage semiconductor applications.
  • Applied Materials - Supplier of semiconductor processing equipment.
  • Joosung Engineering Inc. - Chemical vapor deposition (CVD) equipment for semiconductor and semiconductors LCD processing.
  • ASML Holding NV - Provider of lithography systems for the semiconductor industry.
  • APC Technologies - A precision electroplating business specializing in electronic and semiconductors semiconductor products.
  • SELA - Automated manufacturing, inspection and analysis equipment for the semiconductor and tools and equipment optical components industries.
  • Episil Technologies Inc. - Manufacturer of silicon epitaxial wafers foundry and provide semiconductors buried layer epitaxial process services.
  • Genesis Development - Manufacturer of photolithographic and vapor treatment equipment for components semiconductor wafers. Product brochures in PDF.
  • Solitec Wafer Processing, Inc. - Processing equipment for the semiconductor industry.
  • Thermonics Incorporated - Manufactures precision temperature forcing systems, temperature chuck systems, tools and equipment automatic tri-temperature robotic handlers, and custom thermal fixturing tools and equipment for the IC industry.
  • Xenon Corporation - Manufactures pulsed UV systems for sterilization and curing of DVDs, semiconductors semiconductors, fiberoptics, medical devices and electronics, featuring low heat, instant semiconductors on/off and deep penetration. Also flashlamps and tall tower lamps
  • PVA TePla AG - Providers of microwave plasma tools for ashing, resist tools and equipment components strip, descum, polyimide and paralyne removal, surface cleaning tools and equipment components and isotropic etch processing for semiconductor wafers, flat tools and equipment components panel displays , mems and optoelectronics.
  • IPS Tech - Manufacturer of ALD (Atomic Layer Deposition) systems, dry semiconductors etchers, and semiconductors sputtering systems for semiconductor processing.


   MySQL - Cache Direct


  
Twitter